Äîêóìåíò âçÿò èç êýøà ïîèñêîâîé ìàøèíû. Àäðåñ îðèãèíàëüíîãî äîêóìåíòà : http://danp.sinp.msu.ru/Articles_GSIB/nimb_gasclasterionbeam_aplications.pdf
Äàòà èçìåíåíèÿ: Fri Oct 7 18:21:00 2005
Äàòà èíäåêñèðîâàíèÿ: Mon Oct 1 22:42:48 2012
Êîäèðîâêà:
ARTICLE IN PRESS

N IM B
Beam Interactions with Materials & Atoms

Nuclear Instruments and Methods in Physics Research B xxx (2005) xxx­xxx www.elsevier.com/locate/nimb

Gas cluster ion beam infusion processing of semiconductors
R. MacCrimmon *, J. Hautala, M. Gwinn, S. Sherman
Epion Corporation, 37 Manning Road, Billerica, MA 01821, United States Available online

Abstract The application of gas cluster ion beam (GCIB) infusion in advanced IC fabrication is described. GCIB processes for surface modifications, additive (junction formation, deposition) and subtractive (etch) processing are discussed. ñ 2005 Elsevier B.V. All rights reserved.
PACS: 30; 60; 70; 80 Keywords: GCIB; Clusters; Boron doping; Thin-film deposition; Etching; Smoothing

1. Introduction Gas cluster ion beam (GCIB) processing (GCIB infusion), has been developed over the past decade [1­5]. In GCIB, gas phase atomic clusters containing thousands of atoms are created by supersonic expansion, and then weakly ionized, and the ions accelerated to impact a substrate surface [3]. Cluster impacts differ significantly from single ion impacts on a surface. In a cluster ion impact, all of the atoms interact nearly simultaneously with the substrate atoms. Consequently, large amounts of energy are quickly deposited in a relatively small volume (<30 nm radius) very near the substrate surface. Variations in the process parameters produce surface specific processes in which evaporation, sputtering, chemical alterations and shallow implantation may all occur simultaneously. GCIB infusion processes have been developed for a number of different semiconductor processing requirements [6­9]. Herein we describe the application of Epionós nFusionð GCIB system (Fig. 1) for advanced semiconductor processing that includes surface modification, ultrashallow junction (USJ) formation, thin film deposition and etch and photoresist stripping.
*

2. Surface morphology modification Surface smoothing was one of the first applications of GCIB processing [3,4,6,7,10]. Typically, GCIB infusion ° produces surface roughness values in the range 2­4 A Ra [1,10,11] on oxide and metal surfaces. Epion has also developed equipment and processes for the densification (pore sealing) of low-k dielectric surfaces. Using the nFusionð tool, we exposed the low-k dielectric JSR-5109, (porous SiOCH; k = 2.2) to argon GCIB infusion. The process formed a cluster-beam-densification (CBD) layer, the thickness of which varied with cluster energy to the 1/3 power (Fig. 2). GCIB infusion both densified and sealed this porous dielectric surface [8] and resulted in improved barrier performance of the film. 3. Additive GCIB processes ­ USJ and film deposition The addition of 1% B2H6 to silicon processing by argon GCIB produces ultra shallow junctions in the silicon surface [9]. Boron penetration can be adjusted between 5 and 30 nm by variations in cluster energy and penetration depth varies as the cube root of the cluster energy (Fig. 3(a)). SIMS (Fig. 3(b)) shows that a 5 keV GCIB process infuses $1E15 cmþ2 boron dose at a junction depth (Xj) of 12 nm (1E18 cmþ3 volume concentration). Ion implantation at low energies (500 eV) produces

Corresponding author. E-mail address: rmaccrimmon@epion.com (R. MacCrimmon).

0168-583X/$ - see front matter ñ 2005 Elsevier B.V. All rights reserved. doi:10.1016/j.nimb.2005.08.074


ARTICLE IN PRESS
2 R. MacCrimmon et al. / Nucl. Instr. and Meth. in Phys. Res. B xxx (2005) xxx­xxx

Fig. 4. X-TEM of boron-infused surface showing lack of end of range (EOR) damage.

Fig. 1. Epionós 300 mm nFusionð system.

Densified Layer Thickness (nm)

35 30 25 20 y =10 x 15 10 5 0 0 5 10 15 20 25 30 35 40
1/3

implantation. Addition of GeH4 to this GCIB B-doping process makes it self-amorphizing. Subsequent activation of the SiGeB layer by solid phase epitaxy (SPE) yields a defect-free crystalline layer without end of range damage (Fig. 4). 5% GeH4 in a pure Ar GCIB infusion of silicon produces a graded SiGe layer suitable for strained silicon applications. More prolonged exposure times realize deposition of amorphous Ge on silicon (Fig. 5(a)). Deposition rates and film thicknesses vary linearly with cluster energy and dose, respectively. Film uniformities are comparable with boron dose control in an ion implanter. SPE annealing of film yields low defect epitaxial Ge suitable for channel formation (Fig. 5(b)). Substitution of SiH4 for GeH4 yields amorphous silicon. 4. Subtractive GCIB processing ­ photoresist removal and etching The use of O2 alone or with reactive gases such as NF3 or CF4 in GCIB infusion yields subtractive processes. Pure O2 GCIB infusion is uniquely suited to photoresist (PR) stripping on porous low-k ILDs. The process removes the PR, then densifies and smoothes the surface of the porous ILD similar to processes described in the section on surface
1E+22

GCIB Energy (keV)
Fig. 2. Dependence of cluster-beam-densification layer thickness on cluster energy.

$8E14 cmþ2 at Xj = 37 nm and suffers from ion channeling (not evident in GCIB analyses). GCIB infusion thus yields higher boron concentrations at shallower depths than ion
25

Xj =1E18 atoms/cm3 20 1E+21 5keV Infusion Doping 1.8nm/decade Concentration (atoms/cc) 1E+20 500eV Standard Implant Channeling 1E+19 15 Xj (nm) 10

Energy1/3

1E+18

5 1E+17

0

1E+16 0 5 10 15 20 25 GCIB Energy (keV) 30 35 40

(a)

(b)
1/3

0

100 200 300 400 500 600 700 800 900 1000 Depth (angstroms)

Fig. 3. (a) SIMS measurements of B depth versus cluster energy showing E with standard 500 eV ion implant.

dependence; (b) SIMS comparison of 5 keV GCIB infusion boron doping


ARTICLE IN PRESS
R. MacCrimmon et al. / Nucl. Instr. and Meth. in Phys. Res. B xxx (2005) xxx­xxx 3

Fig. 5. (a) SIMS of Germanium infusion and infusion + deposition; (b) planar TEM and electron diffraction pattern of single crystal Ge on silicon after SPE re-growth.

Fig. 6. Cross-sectional SEM of trenches etched into a porous low-k ILD (JSR-5109) followed by photoresist stripping.

modification. Also, whereas conventional plasma PR stripping can result in bulk damage to porous low-k ILDs, electrical testing shows no such damage in GCIB infusion stripping. Reactive gases such as NF3 or CF4 added to a O2, N2 or Ar GCIB infusion produce high rate etching processes that are readily tuned for high selectivities between PR and lowk dielectrics. Fig. 6 shows a test structure produced by selective GCIB etching of a porous low-k dielectric followed by GCIB PR stripping. GCIB etching processes exhibit low to non-existent micro-loading effects, in situ dose control, high directionality, a lack of bulk or surface plasma damage, very smooth etched surfaces and extremely

Fig. 7. Etching and uniformity improvement of 200 mm SOI.


ARTICLE IN PRESS
4 R. MacCrimmon et al. / Nucl. Instr. and Meth. in Phys. Res. B xxx (2005) xxx­xxx

low etch non-uniformities (a consequence of the scanning configuration within the GCIB etch tool). GCIB also permits the programmable thinning of SOI wafers. Fig. 7 shows the highly uniform SOI layers achievable using GCIB infusion etching. 5. Conclusions GCIB infusion has broad applicability in semiconductor device fabrication. The technology provides a highly controllable, surface specific route for morphology control, shallow doping and thin film deposition and etching. GCIB infusion is thus an enabling technology for reduced semiconductor device feature size. Acknowledgement The authors thank M. Tabat, T.G. Tetreault, D.B. Fenner Y. Shao, E. Degenkolb, W. Skinner and A. Kirkpatrick for helpful discussions.

References
[1] T.M. Mayer, E. Chason, A.J. Howard, J. Appl. Phys. 76 (1994) 1633. [2] I. Yamada, J. Matsuo, Z. Insepov, T. Aoki, T. Seki, N. Toyoda, Nucl. Instr. and Meth. B 164­165 (2000) 944. [3] I. Yamada, J. Matsuo, N. Toyoda, A. Kirkpatrick, Mater. Sci. Eng. R 34 (2001) 231. [4] A. Kirkpatrick, Nucl. Instr. and Meth. B 206 (2003) 830. [5] J. Borland, M. Gwinn, J. Hautala, T.G. Tetreault, W. Skinner, Solid State Technol. (2004) 53. [6] J.-H. Song, D.-K. Choi, W.-K. Choi, Nucl. Instr. and Meth. B 196 (2002) 275. [7] I. Yamada, J. Matsuo, N. Toyoda, Nucl. Instr. and Meth. B 206 (2003) 820. [8] B. White, G. Book, J. Hautala, M. Tabat, in: Proceedings of the 2004 IEEE Intól Interconnect Tech. Conf., San Francisco, CA, June 2004. [9] J. Hautala, J. Borland, M. Tabat, W. Skinner, in: Proceedings of the 4th Intól Workshop on Junction Tech., Shanghai, China, March, 2004, p. 50. [10] Z. Insepov, I. Yamada, M. Sosnowski, Mater. Chem. Phys. 54 (1998) 234. [11] D.B. Fenner et al., Mater. Res. Soc. Symp. Proc. F10.2.1 (2000) 614.