Äîêóìåíò âçÿò èç êýøà ïîèñêîâîé ìàøèíû. Àäðåñ îðèãèíàëüíîãî äîêóìåíòà : http://danp.sinp.msu.ru/Articles_GSIB/njmb_review_GCIB_methodand%20equipments.pdf
Äàòà èçìåíåíèÿ: Fri Oct 7 22:04:54 2005
Äàòà èíäåêñèðîâàíèÿ: Mon Oct 1 22:45:23 2012
Êîäèðîâêà:
ARTICLE IN PRESS

Nuclear Instruments and Methods in Physics Research B xxx (2005) xxx­xxx www.elsevier.com/locate/nimb

Recent advances in R&D of gas cluster ion beam processes and equipment
Isao Yamada *, Noriaki Toyoda
Laboratory of Advanced Science and Technology for Industry, University of Hyogo, 3-1-2 Kouto, Kamigori, Hyogo 678-1205, Japan Available online

Abstract This report reviews a new field of ion beam technology that employs accelerated ions consisting of clusters of a few hundreds to thousands of atoms (Gas Cluster Ion Beam technology, GCIB). Cluster ion-surface collisions have been found to produce low energy bombardment effects at very high density and GCIB processes exhibit unique non-linear effects that are useful for novel surface processing applications. The effects include low energy ion bombardment, lateral sputtering, and low temperature thin film formation. GCIB processing has been successfully applied for shallow junction formation; for high rate etching; for surface smoothing of materials including metals, dielectrics, superconductors and diamond; and for high-k oxide and DLC thin film deposition. Currently, industrial applications of GCIB processes are being conducted by several Japanese companies under the Nanotechnology program called ``Advanced Nano-Fabrication Process Technology Using Quantum Beams'' of NEDO/METI (New Energy and Industrial Technology Development Organization/the Ministry of economy and Technology Industry). In US, R&D especially for semiconductor applications are under way at Epion Corporation, International SEMATEC and their cooperated associations. Epion is the only company that is developing industrial GCIB equipment and has joined the NEDO/METI project. The review includes recent equipment and process developments. In nano-scale GCIB processes, the effect of cluster size (atoms/cluster) on surface processing, especially damage production, becomes important. In the project, GCIB equipment with cluster size selection system has been developed. Several industrial applications for surface smoothing of magnetic and semiconductor materials by the Japanese government and IC processing by US companies are summarized. ñ 2005 Elsevier B.V. All rights reserved.
PACS: 07.05.T; 36.40.W; 61.72.T; 68.35.G; 79.20.A; 79.20.R; 81.15.J Keywords: Cluster ion beams; Shallow implantation; Lateral sputtering; Surface smoothing; Cluster ion beam assisted deposition

*

Corresponding author. Tel.: +81 791 58 0027; fax: +81 791 58 2666. E-mail address: i-yamada@kuee.kyoto-u.ac.jp (I. Yamada).

0168-583X/$ - see front matter ñ 2005 Elsevier B.V. All rights reserved. doi:10.1016/j.nimb.2005.07.076


ARTICLE IN PRESS

2

I. Yamada, N. Toyoda / Nucl. Instr. and Meth. in Phys. Res. B xxx (2005) xxx­xxx

1. Introduction Research and development on gas cluster ion beam (GCIB) technology began in the Ion Beam Engineering Experimental Laboratory of Kyoto University following confirmation of our ability to produce high concentrations of gas phase clusters composed of a few hundreds to thousands of atoms or molecules [1,2]. Neutral gas clusters are produced by expansion of the atoms or molecules of interest at high pressure through a room temperature nozzle into vacuum. The neutral cluster beam thus produced is then ionized by electron bombardment and is accelerated through a high voltage to impact upon a surface to be processed. A schematic of typical GCIB apparatus is shown in Fig. 1. When the cluster ion impacts the target surface, all of its atoms interact nearly simultaneously with many target atoms and deposit a very high energy density into a very small volume of the target material. This produces highly non-linear implantation and sputtering effects that are fundamentally different from those associated with the simple binary collisions that take place in conventional (monatomic) ion beam impacts. GCIB cluster ions containing up to several thousand atoms are typically only singly or doubly ionized. This offers distinct advantages for mass delivery and a cluster ion beam at a given current density is able to transport thousands of times more atoms than a monomer ion beam of similar current density. For example, a 1 lA beam of cluster ions with an average cluster size of 1000 atoms

can transport the same number of atoms as a 1 mA monomer ion beam. Another advantage of GCIB processes is that it produces low-energy atomic interactions even when the total energy of the clusters is high. Since the kinetic energy of individual atoms in a cluster ion is equal to the total energy of the cluster divided by the number of its constituent atoms, cluster ion beams inherently yield low-energy ion bombardment. For example, within a 20 keV cluster ion comprised of 2000 atoms, each individual atom has an energy of only 10 eV. Space charge effects make it exceptionally difficult to transport ions in monomer beams having energies as low as 10 eV. It is relatively easy to use high acceleration voltages to produce low energy ion impacts by GCIB processing. This characteristic of GCIB is useful for producing shallow implantation and doping, high rate/low damage sputtering, and nano-scale surface modifications [3]. The review includes recent equipment and process developments. In nano-scale GCIB processes, the effect of cluster size (atoms/cluster) on surface processing, especially damage production, becomes important. In the project, GCIB equipment with cluster size selection system has been developed. Several industrial applications for surface smoothing of magnetic and semiconductor materials by the Japanese government and IC processing by US companies are summarized.

2. Formation of cluster size selected GCIB In order to apply GCIB for nano-scale processes, highly controlled cluster size production is needed. GCIB which produced by supersonic expansion usually has large cluster size distribution, from about 100 to 20,000 atoms/cluster. This size distribution creates a possible bombarding energy distribution of 1­200 eV when, for example, GCIB is accelerated at 20 kV, and therefore formation of highly controlled GCIB with selected cluster size is required. In the following we discuss development of a new type of system using electric deflection and a conventional magnetic field method with high intensity for fundamental process study.

Fig. 1. General GCIB apparatus schematic.


ARTICLE IN PRESS

I. Yamada, N. Toyoda / Nucl. Instr. and Meth. in Phys. Res. B xxx (2005) xxx­xxx

3

2.1. Size selection by transient time effect under electric field deflection It is requested for cluster size selection system that the system should be simple and light, that wide range of cluster sizes should be selected and a continuous selected beam should be obtained. A new type of size selection system has been developed [4]. The basic construction of the system is similar to those of electrostatic deflection systems shown in Fig. 2. An electric field deflection system, which is operated by high frequency voltages, seems to satisfy those requests. Since the cluster ions of different sizes travel at different speed, the transient time under the deflection system is different ­ which causes different trajectories. By choosing deflection voltage and frequency, GCIB with aimed cluster size can be selected for GCIB accelerated at the process voltages. Fig. 3 shows the TOF spectra of cluster size distribution. The selected beam has cluster size distribution of 1093 (+669, þ424) at the peak position of 2000 atoms/cluster. This distribution causes bombardment effect of DE = 2.3 eV at the substrate surface, when the GCIB is accelerated to 10 keV. The results will be sufficient to operate at the critical energy near the non-damage process region. 2.2. Size selection by high intensity magnetic field A size-selected GCIB system with a magnet has also been developed especially for process evaluation even though the structure is large and heavy [5]. The permanent magnet is installed after the ionizer. The designed mass resolution is M/
Intensity [a.u.]

1.0

Before mass separation
0.8

Ar-GCIB Va=10 kV f=50 kHz (250 V RMS) Target size=2000

0.6
E=2.3 eV E=6.2 eV

0.4

0.2

After mass separation

0.0

0

1000

2000

3000

4000

5000

6000

7000

8000

Cluter size

Fig. 3. TOF spectra of size selected and original GCIBs.

DM = 5 for an input beam with ±20 mm width and ±20 mrad solid angle. The magnet uses NdFeB permanent magnet and achieves 1.2 T on the center axis. The effective field length is 450 mm. TOF spectra of size selected GCIB shows the cluster size distribution of ±250 atoms from the peak position of 1500 atoms/cluster. The acceleration energy was 5 keV. Compared to the original cluster size distribution of 7000 at the cluster size peak of 4000, the cluster size of selected GCIB was quite narrowed. The effect of cluster size dependence on surface damage has been studied by using GCIB equipment with a permanent magnet. According to the MD simulations, the number of displaced Si atoms decreases with increasing cluster sizes. For example, when the Si substrate is irradiated at 5 keV GCIB, almost no displaced atoms are created with a cluster size larger than 4000 atoms/cluster. The experimental results using size selected GCIB by magnet have shown almost the same trend as that of MD simulations.

slit

Deflector

Deflector 2 +

slit
+

Substrate

+ + +
High frequency electric field

+

3. Recent development of nano-scale GCIB process Recent surface smoothing processes have been applied for magnetic materials surface for HDD sensor head [6], poly-crystalline SiC wafer surface which are used for CVD process as a monitor wafer [7], laser annealed poly-Si surface which are used for FET of FPD [8] and Si nano-structure

GCIB

Cluster size selection system

Selected cluster

Fig. 2. Schematic of size selection system using high frequency operated electric deflection system.


ARTICLE IN PRESS

4

I. Yamada, N. Toyoda / Nucl. Instr. and Meth. in Phys. Res. B xxx (2005) xxx­xxx

surface for photonics materials [9]. This summary is shown in Fig. 4. One of the more remarkable applications is surface smoothing of the side wall of Si photonics structure. Si pillar structure with high aspect ratio is usually made by inductively coupled plasma ­ reactive ion etching (ICP-RIE). The side wall is rough because the process uses etching and deposition successively in order to make high aspect ratio structure. GCIB process has been applied to

smooth the surface of the side wall. SF6 GCIB accelerated at 30 kV was exposed by the angle of 83° for surface normal. The result shows that Ra of 0.1 nm could be obtained. As GCIB enters into the 300 mm semiconductor processing, new ways to exploit the properties of gas clusters are required. They are shown in Fig. 5. Several of these unique properties represent significant opportunities for GCIB to become an enabling technology for the IC industry, and all

Fig. 4. NEDO/METI Project on Gas Cluster Ion Beam Process Technology.

Fig. 5. GCIB applications in semiconductor field.


ARTICLE IN PRESS

I. Yamada, N. Toyoda / Nucl. Instr. and Meth. in Phys. Res. B xxx (2005) xxx­xxx

5

require substantial new understanding and development of the complex processing effects of gas cluster and surface interactions to meet the demanding requirements of high throughput 300 mm semiconductor production [10,11]. A production GCIB equipment specifically designed for high throughput (>20WPH) processing of 300 mm Si substrates has been developed. The GCIB process is proving to be an effective and useful asher, where it has demonstrated high rates of polymer removal with no measurable etching or degradation of other exposed materials such as porous low k dielectrics. This includes the controlled surface densification of the porous ultra low k materials, removing of polymer residue òfencesó at the bottom of dual damascene structures in low k dielectrics and the planarization of Cu lines or W plugs in sub 100 nm interconnects. The directed chemistry beam of the GCIB tool can deliver to the surface can also be used for the cleaning of surfaces such as Cu or etching of thin films in normally hard to reach surfaces within a semiconductor device such as the bottom of a trench or via. Another example called infusion doping has been demonstrated recently by the GCIB with B-containing molecules such as BF3 or B2H6 into Ar clusters. This type of GCIB produces highly efficient doping (>10 mA B+ equivalent beam current), and is restricted to ultra shallow depths (<20 nm). Acknowledgement This work is partially supported by New Energy and Industrial Technology Development Organization (NEDO) under the Nanotechnology Pro-

gram of Advanced Nano-Fabrication Process Technology Using Quantum Beams.

References
[1] I. Yamada, in: Proceedings 14th Symposium on Ion Sources and Ion-Assisted Technology, Tokyo, The Ion Engineering Society of Japan, Tokyo, 1991, p. 227. [2] I. Yamada, Radiat. Eff. Defects Solids 124 (1992) 69. [3] Yamada, J. Matsuo, N. Toyoda, A. Kirkpatrick, Mater. Sci. Eng. R 34 (2001) 231. [4] K. Owaki, S. Nakagawa, N. Toyoda, I. Yamada, in: 4th Workshop on Cluster Ion Beam and Advanced Quantum Beam Process Technology, Osaka Science & Technology Center, Osaka, 2003, p. 129. [5] N. Toyoda, S. Houzumi, T. Aoki, I. Yamada, Mat. Res. Soc. Symp. Proc. 792 (2004) 628. [6] S. Kakuta, S. Sasaki, K. Furusawa, T. Seki, T. Aoki, J. Matsuo, 4th Workshop on Cluster Ion Beam and Advanced Quantum Beam Process Technology, Osaka Science & Technology Center, Osaka, 2003, p. 35. [7] N. Miyatake, K. Murata, T. Ichihasi, M. Ebata, 4th Workshop on Cluster Ion Beam and Advanced Quantum Beam Process Technology, Osaka Science & Technology Center, Osaka, 2003, p. 156. [8] T. Ogaswa, K. Kawabe, M. inoue, T. Seki, J. Matsuo, in: 4th Workshop on Cluster Ion Beam and Advanced Quantum Beam Process Technology, Osaka Science & Technology Center, Osaka, 2003, p. 149. [9] E. Bourelle, A. Suzuki, A. Sato, T. Seki, J. Matsuo, in: 4th Workshop on Cluster Ion Beam and Advanced Quantum Beam Process Technology, Osaka Science & Technology Center, Osaka, 2003, p. 41. [10] J. Hautala, in: 4th Workshop on Cluster Ion Beam and Advanced Quantum Beam Process Technology, Osaka Science & Technology Center, Osaka, 2003, p. 117. [11] B. White, J. Wolf, G. Book, C. Doughty, J. Hautala, in: 4th Workshop on Cluster Ion Beam and Advanced Quantum Beam Process Technology, Osaka Science & Technology Center, Osaka, 2003, p. 29.